Icarus Verilog

16 янв., 2024
Рейтинг:
4.8, голосов: 5
Категория:
РазработчикамIDE
Разработчик:
Icarus
Лицензия:
Бесплатная
Количество загрузок:
1,575
Операционная система:
Windows XP/XP Professional/Vista/7/8/10/11
Последняя версия:
10.1.1

Описание

Icarus Verilog is an excellent tool for anyone looking to write, compile, and debug hardware description language (HDL) code. It is specifically designed to work with the IEEE-1364 standard and is easy to use for both beginners and experienced users. The interface is intuitive and the automated and manual operations help to make the process of creating and modifying HDL code much easier. Additionally, the ability to import and export materials makes it even more convenient. Overall, Icarus Verilog is an excellent choice for anyone looking to work with HDL code.

Icarus Verilog 10.1.1 доступна для бесплатной загрузки с нашей библиотеки. Разработчик этой бесплатной программы — Icarus.

Самые популярные версии среди пользователей программы 10.1, 0.9 и 0.8. Последняя версия Icarus Verilog может быть установлена на Windows XP/XP Professional/Vista/7/8/10/11, 32-бит.

Icarus Verilog принадлежит к категории Разработчикам, а точнее к подкатегории IDE. Наш встроенный антивирус просканировал эту загрузку и признал ее как надежную для скачивания.

От разработчика:

Icarus Verilog is a work in progress, and since the language standard is not standing still either, it probably always will be. That is as it should be. However, I will make stable releases from time to time, and will endeavor to not retract any features that appear in these stable releases. The quick links above will show the current stable release.

Мы предлагаем оценить другие программы, такие как Icarus или Guns of Icarus, которые часто используются вместе с Icarus Verilog.

Как почистить реестр
рекомендуем